Зая гэгээн Жамбацэрэн

Ар халхын тамгатай 13 хутагтын нэг Зая бандида Жамбацэрэнг МАХН, Коминтерны зүгээс хэрхэн буудан хороосныг бичихийн өмнө ер нь Заяын гэгээний замнал түүхийн талаас нь түр ч атугай өнгийн сонирхвол уншигч таны түүхийн мэдлэгт өчүүхэн ч гэсэн нэмэр болох буй за.

Үндэсний түүхийн төв архивт байгаа Заяын уг түүхэн нугалбарт бичсэнээр Зая хутагтын дүр өдгөө хүртэл 15 хувилсан аж. Үүнээс эхний тав нь Энэтхэг Жагарын оронд, удаах гурав нь Цаст Түвдийн нутагт, үлдсэн долоо нь монгол газарт тодрон гарсан байх юм. Монголд төрсөн анхны дүр нь эзэн Чингисийн угсааны Оноху Үйзэн ноёны III хөвгүүн хувилгаан баатар Адатай гэнэ. II дүр нь Сайн ноёны хөвгүүн үр болох Увшийн Угсаны Лувсанпэрэнлэй хувилгаан 1642 онд төрсөн хийгээд Өндөр гэгээн түүнд “Ноён хүн хувилгаан” хэмээн айлтгаад ноён хутагт цол шагнажээ.

1679 онд Заяын дээд хүрээг байгуулав. Цастын оронд шашны онолын номыг ихэд суралцсан тухайд Далай лам, Ванчин-Эрдэнэ нараас Түмэнхан сайн ноёны хувилгаан мөн хэмээгээд 1688 онд Зая бандида их Буддагийн таван гүн ухааны эрдмийг эзэмшсэн хүнд хүртээдэг цолыг шагнажээ. Ийнхүү эхний арван дүрээс дээрх цолыг гагц Лувсанпэрэнлэй анх хүртсэн учир нэгдүгээр Зая хутагт хэмээн тоолдог юм.

Дэмчигийн хийд

Өмнөговь аймгийн Ханбогд сумын нутагт Галбын байц хадан ууланд Дэмчигийн хийдийн туурь оршино. GPS солибцол - N 43°07.664'; E 107°07.690'. Говийн тавдугаар ноён хутагт, соён гэгээрүүлэгч, их яруу найрагч Данзанравжаа 1820-1830 оны хооронд говийн догшин ноён хутагт Равжаа улааны урсгалын Галбын гурван хийдийг Галбын уулсын ноён оргил Ханбогдын хормойд байгуулахдаа "Хэрэв энэ газар орныг хүн биширч чадах юм бол хүнийхээс илүү газрынх нь ид шид ноёлсон, хүнд сайн сайхан бүхнийг өгч чадах, газрынхаа гүнд баялагтай, хорвоо ертөнц мөхөх, галав юүлэх хүнд хэцүү тэр цагт хэдхэн хүнээ аваад хоцрох гайхамшигтай уул" хэмээн магтан бичиж үлдээсэн байна. Энэ гурав нь Дэмчигийн, Ритийн, Цагаан толгойн хийдүүд юм.

Юм бэйсийн хүрээ буюу Амарбуянтын хийд

Баянхонгор аймгийн Баян-Өндөр сумын нутагт N44°37.745' E 98°42.214'  GPS солибцолд Шинэжинст сумаас баруун тийш 47 км орчим зайд Амарбуянтын хийд оршино. Хуучин Юмбэйсийн хошууны Амарбуянтын хийд нь 250 жилийн тvvхтэй. Тус хийдийг Манжийн Тэнгэрт тэтгэсэн хааны 15-р он буюу 1750 оны модон морин жил Агваансодном гэдэг лам анх хүрээг үүсгэн, Цогчин дуган байгуулжээ. Энэ хийд Монгол орны баруун өмнөд хязгаарын хамгийн том хийд бөгөөд 1000 гаруй ламтай, 8 дацан, 41 дугантай, эргэн тойрондоо монгол бичгийн сургууль, жасаа, тамгын газар, хятадын худалдааны 15 пүүс, оросын худалдааны 3 сантай, 10000 гаруй хүн амтай тухайн цаг үедээ Монголд гуравдугаарт орохуйц томоохон суурин байв.

Харуул Овоо

Ховд аймгийн Булган, Үенч сумын зааг нутагт Жаргалан уулын орой дээр нэгэн сүрлэг чулуун байгууламж бий. Нутгийнхан эл байгууламжийг Харуул овоо гэж нэрлэнэ. Уг байгууламжийн өндөр нь 10 гаруй метр, овооны суурийн диаметр нь 7 метр орчим юм. Харуул овоо гэсэн нэрнээс нь аваад үзэхэд энэхүү овоо нь нутгийн хил хязгаарын тэмдэг эсвэл ямар нэгэн дохио тэмдэгийг дамжуулах, мөн ямар нэгэн зүйлд тэмдэг болгон байгуулсан байж болох талтай гэж үздэг. Судлаачид уг байгууламжийг XVII-XVIII зууны үед хамааруулан авч үздэг. Монгол Алтайн нуруунд иймэрхүү бие биеэ харсан чулуун байгууламж 3 бий гэдэг. Эдгээрийн 2 нь Хятадын нутагт, 1 Монголд байдаг. Харуул овоо нь гурван үе бөгөөд доогуураа өргөн цүлхгэр, дээшээ нэгэн жигд нарийсч цамхаг хэлбэрийг бий болгосон байна. Овоог хар саарал өнгийн занарлаг чулууг хавтгайгаар нь өрж дунд нь модон шургаагаар бэхэлгээ зангидаж хийсэн болох нь овооноос цухуйсан моднуудаас харагддаг. Харуул овоо ямар нэгэн тайлга тахилын байгууламж биш юм.

Алдарт туульч Мандиханы Парчин

Алдарт туульч Мандиханы Парчин бол Баруун Монголын ард түмний олон туулийг залгамжлан хөгжүүлж, туульчийн уран чадварыг төгс эзэмшин, хойч үедээ уламжлуулан, орчлон дахинаа алдаршуулж манай ард түмний дунд төдийгүй дэлхийн монголч эрдэмтдийн дунд нэрд гарсан туульч билээ.

   Алдарт туульч М.Парчин XVIIII жарны хөхөгчин туулай жил буюу 1855 онд хуучин дөрвөд Сайн Заяатын Зүүнгар Чуулганы Төгс хүлэг Далай ханы аймгийн арван баядын Саруул жанжин гүний хошуу, одоогийн Увс аймгийн Хяргас сумын нутагт Мандиханы 2-р хүү болон төрж 1926 онд далан нэгэн насандаа өөд болжээ. М.Парчин угтаа Цорос овогтон тайж "цагаан ястан" гаралтай гэх боловч амьдралын хувьд ядуу, жирийн нэг хохь тайж байжээ. Боловсролын хувьд тод

Facebook – ийн нэвтрэх дэлгэцний дэвсгэр зургийг хэрхэн өөрчлөх вэ?

Тулуйн хатан Сорхогтань

Тулуй бол 1193 онд Их хатан Бөртэ үжингээс төрсөн Чингис хааны отгон хүү бөлгөө. Монголчуудын эртний уламжлал ёсоор «голомтын эзэн» хэмээгдэх Тулуй, зан ааш аядуу зөөлөн, сайхан сэтгэл, эрэлхэг зоригтой, цэрэг дайны эрдэмд гарамгай нэгэн ажээ. 1211 оноос Тулуй хаан эцгээ даган Алтан улс, Хорезм, Бухар, Самарканд.Тангудыг эзлэх бүх дайнд оролцож явсан байна.

XIII зууны тэргүүн хагаст Тулуйг бусад хаан хөвгүүдээс онцгойлон «Их ноён» хэмээн хүндэтгэж, түүний хувь эзэмшил - жинхэнэ суугуул монгол орныг гүрний « голын улс» гэж нэрлэх болжээ.
Тулуй хэдийгээр онцгой эрх эдлэн Монгол нутгийг өвлөн эзэмшиж, ихээхэн нэр хүндтэй нэгэн байсан ч хаан ширээний төлөө тэмцэж байсангүй. Их хаан Өгэдэй, хааны суурийг эзлэх үед «...Би ахын мартсаныг сануулж, унтсаныг сэрүүлж явъя...» гэж өөрийн бүх оюун чадал, эрдэм ухаан, амь нас юугаа монголын их хаан төрд харамгүй зориулахаа илэрхийлжээ. Тулуй, түүний уудмынхан суугуул нутаг ус, төр улсаа батлан сахиж, 350 гаруй жилийн турш Мөнх хаанаас - Лигдэн хутагт хүртэл монгол улсынхаа төрийг барьж байсан түүхтэй.

Cuthberth - Introduction To Nomads

Миний эрх чөлөөний талбараар зочлон саатагч та бүхэндээ зориулан, хэд сонсоод ч уйдхааргүй энэхүү цомгийг хүргэж байна. Тэр тусмаа гадаад ажиллаж, амьдарч буй Монголчууд минь унасан газар угаасан ус нутгаа санасан үедээ энэхүү аялгуунуудыг сонсоод эх нутагтаа ирсэн мэт сайхан мэдрэмжийг мэдрээрэй. Сонсоод сэтгэгдэлээ үлдээхээ мартав.

Монгол угсааны ястангууд - Урианхай

Урианхай нь хэл, соёл, угсаа гарал нэгтэй Монголын олон ястны нэг болохын дээр гарал үүслийн хувьд Монгол угсаатан дотроосоо одоогийн нэрээрээ тэмдэглэгдэж ирсэн хамгийн эртний гарал үүслийн түүхтэй угсаатан юм.Урианхай угсаатны түүхийг Хүннү гүрний дараах үе, Сяньби улсын үеэс эхлэлтэй гэж үздэг. Урианхай нь анхны Монгол аймгийг үүсгэн байгуулагч гурван овгийн нэг гэж үздэг бөгөөд энэ талаар Эргүнэ Хүний домогт тодорхой өгүүлсэн байдаг.

XIII зуунд буюу Их Эзэн Чингис хааны үед Урианхай аймгаас Зэлмэ, Сүбэдэй зэрэг олон баатарлаг жанжин төрөн гарч байсан тухай Монголын нууц товчоонд өгүүлсэн байдаг. Чингис хааны есөн өрлөг жанжины нэг Зэлмэ нь Чингис хааны амийг гурвантаа аварч, цэргийн их жанжин Сүбэдэй нь Чингис хааны байлдан дагуулалтад онцгой үүрэг гүйцэтгэж байжээ. Дундад Ази, зүүн Европын улс орнуудыг Сүбэдэй жанжины удирдсан их цэрэг байлдан эзэлж байжээ.

Монголын хөх толбын тухай

Шинээр төрсөн хүүхдийн биеэн дээр байх хөх толбыг дэлхийн эрүүл мэндийн шинжлэх ухааны хэлэнд “Mongolian Blue Spot” гэж нэрлэсэн байдаг.

Хүүхэд төрсөн цагаас өгзөг болон биеийн аль нэг хэсэгт хөх өнгийн толбо байдаг бөгөөд энэ толбо нь 3-10 жилийн дотор арилж алга болдог. Үүнийг Монголчууд хөх толбо гэж нэрлэдэг. Энэхүү хөх толбо нь Монгол, Ази гаралтай хүүхдүүдэд элбэг тохиолддог бөгөөд эрдэмтдийн судалгаагаар ОХУ-ын Буриад, Тува, Халимагийн иргэд, Монгол Улсын иргэд, Хятад улс дахь өвөр монгол иргэд, Шинжан, Казакстан дахь Дөрвөн Ойрадын иргэд, Солонгос, Манж нутаг, Афганистан, Унгар зэрэг улсын зарим хүмүүст, Америкийн Индиануудад энэхүү хөх толбо байдаг гэнэ.

Улс тунхагласны 87 жилийн баярын мэнд хүргэе



Д.Пvрэвдорж : Тусгаар тогтнол

Ханат цагаан гэрийн од хийморийн тоонон дээр
Хасаг халуун тулганы омог дөрвөн тотгон дээр
Хан Алтай аавын онгон тэргvvн оргил дээр
Хатан Сэлэнгэ ээжийн одод орчих мандал дээр
Тусгаар тогтнол чамайг
Тунхаглан бичнэ би

Ганган улаан тэмээний зогдор дэвсэх тэшил дээр
Гантай мөнгөн хэтний зоолог тээх тээгэн дээр
Галбын халуун говийн хулан ангах ээрэм дээр
Гантиг чулуун хясааны янгир халих элгэн дээр
Тусгаар тогтнол чамайг
Тунхаглан бичнэ би

Бурхан багш

Манай эриний өмнөх 563-483 он. Анх Сиддхартха Гаутама гэсэн нэртэй байсан Гуатама Будда нь дэлхийн аугаа том шашнуудын нэг болох буддын шашныг үндэслэсэн гавъяатай суут хүн билээ. Энэтхэгийн шакьев омгийн удирдагч Гуатама хааны удмаас гаралтай Сиддхартха нь манай эриний өмнөх барагцаалбал 563 онд өнөөгийн Балба улсын нутагт орших Лумбини хотод төржээ. Түүнийг 16 настай байхад нь мөн адил настай үеэл дүүтэй нь гэрлүүлжээ. Хунтайж Сиддхартха хааны тансаг ордонд өсөж бойжсон ч гэсэн эд хөрөнгөнд шуналгүй, орчин тойрны амьдралдаа дургүйцдэг хүн болон хүмүүжжээ. Дийлэнх хүмүүс ядуу зүдүү амьдарч байнга гачигдал зовлонтой байгааг харж сэтгэл гүнээ зовдог байлаа. Баян хүмүүс ч гэсэн амьдралд гуниж гутардаг, хүмүүс өвчин эмгэгт нэрвэгдээд бөөнөөр үхэж үрэгдэж байгааг мэдээд байх суух газраа олж яддаг байлаа.

Их эзэнт гүрний нийслэл Хар Хорум хот

1232 онд Хархорумын гол хэрмийг 1235 онд хаант төрийн сүр хүч, хөгжил цэцэглэлтийн илэрхийлэл болгон Түмэн Амгалант ордныг байгуулснаар улам ч өнгө жавхаатай болжээ. Түүний өмнөхөн Өгөөдэй хаан ор суусны 7-р он хөхөгчин хонин жил (1235 он)-ийн хавар Хээ Линь (Хархорум) хотыг цогцлон байгуулж Түмэн Амгалант ордныг барьж, 8-р оны (1236 он) улаан бичин жилийн цагаан сард олон ван ноёд зоог базаан уг ордныг барьж гүйцсэнд зориулж их найр, хурим ёслол үйлджээ. Ийнхүү Өгөөдэй хаан Хархорумын бүтээн байгуулалтын ажлыг зохион байгуулснаар Монголын эзэнт гүрний нийслэлийн өнгө төрхийг илэрхийлэхүйц хот болсон байна. Хархорум хотыг байгуулахад Монголчуудаас гадна Франц, Англи, Орос, Унгар, Төвд, Балба, Хятад, Солонгос зэрэг орны шилдэг уран барилгачдыг дайчлан ажиллуулжээ.

Төр барьсан анхны хатан Дөргөнэ

Эзэн Богд Чингис хааны гутгаар хуу Өгэдэй /1185-1241/ хаан 1229 онд их хааны ширээнд заларчээ. Өгэдэй нь эрдэмтэн мэргэдийг шалган сонгон шалгаруулж төрийн албанд дэвшүулэн ажиллах журам тогтоож, Монголын төрийн удирдлагын тогтолцоог төгөлдөржүүлж, Их хуралдайг хуралдуулах дүрмийг шинэчлэн, өдгөө хүртэл уламжилсан гол зарчмуудыг үндэслэсэн монголын төрийн томоохон зүтгэлтний нэг мөн.

Өгэдэй хаан албан татварыг журамлан, албан татвар нэгдсэн хууль гарган, их гүрний харилцан нэвтрэлцэхэд дөхөм болох дөрвөн зүгт «өртөө замыг» байгуулж, говь талд худаг гарган билчээрийг усжуулахын зэрэгцээ, өнчин ядуусыг өргөн тэтгэх улсын санг байгуулан олны дунд «Өршөөл ихт Өгэдэй хаан» хэмээн алдаршжээ. Өвөг дээдсийн цэргийн эрдэм ухааныг өвлөн, цэрэг дайны урлагийг эзэмшсэн тэрээр Зүрчидийн Алтан улс, Түвд, Солонгосыг төвшитгэн тохинуулж, өрнө зүгийн хэд хэдэн орныг Монгол гүрний харъяалалд нэгтгэн нутаг дэвсгэрээ ихээд өргөтгөсөн билээ. Монголын түүх, соёлд томоохон байр эзлэх алдарт хот Хар Хорумыг өргөтгөхөөс гадна «Суурин», «Тосох» нэртэй хотуудыг цогцлон, судар бичгийн хүрээлэнг байгуулан түүх шашдир, цаг тооны бичгийг зохион гаргах болгожээ.

Интернэтэд 60 секунд бүр юу хийгддэг вэ?

Бид бүгдийн амьралд 60 секунд гэдэг нээх их хугацаа биш юм шиг санагдана. Харин интернэтийн амьдралд энэхүү 60 секунд нь хичнээн их хугацаа болох энэ хугацаанд ямар их зүйлс хийгдэж байдгийг дараах тоо баримтыг уншаад та бүхэн ойлгох байхаа.

Folder lock 6.4.0 [HF share]

Миний эрх чөлөөний талбарт тухлан саатдаг хэн бүхэндээ Folder Lock хэмээх програмыг хүргэж байна. Хэрвээ та хэн нэгэнд чөлөөтэй нээлттэй харагдуулмааргүй байгаа хавтаснуудаа хамгаалж нууц үгээр түгжихийг хүсэж байгаа бол энэхүү програмыг ашиглаарай. Windows 7.0, Vista, XP зэрэг үйлдлийн системүүд дээр ажиллана.

О.Дашбалбар - Монголоо муулж харийнханд бөхөлзөгсдөд!!!

Монгол минь үхэхийг чи үзэхгүй
Морьд минь цуцахыг чи үзэхгүй
Мэргэд минь цөөрөхийг чи үзэхгүй
Мэлмий минь цочрохыг чи үзэхгүй
Түүх минь мартагдахыг чи үзэхгүй

Гүнжийн сүм

Цагтаан цалгиж, цагаан сувданд хун шувуу шиг умбаж, шумбаж намагт гуаглах мэлхийг хүртэл шөнө унтуулахгүй байна хэмээн ад үзэгдэж, зайлуулж агсан Манж хааны эрх ямбатай хичээнгүй гүнж өнөөдөр хүйтэн чулуу дэрлэж, алсын салхины аясна амрагийн дуу аялгуулан эгшиглүүлдэг агсан алтан ганжиртай , мөнгөн хонхтой “Гүнжийн сүм” амраг сэтгэлийн мөнхийн дуулал, дэлхийн есөн гайхамшигийн нэгэн “Таж махал” –ын алдарт бунхан лугаа адил Монголын сувдан сондор болон гайхагдаж байсан ахул, өнөөдөр хур бороонд идэгдэн, хөсөрч хаяглан, хүйтэн уулын хөндийн жаварт оршино. Миний цогцсыг хятад газар бүү аваачаарай!

AMX Mod X Командууд


Манай “Хамуг Монгол” багийнханы ажиллуулдаг XaMyG MoNGoL CS 24/7 сервэрийн админууд болон тоглодог бүх тоглогч нартаа энэ өдрийн мэндийг хүргэхийн ялдамд сервэрийнхээ зарим шинэ админуудад AMX хэлбэрийн командуудыг хэрхэн ашиглах тухай мэдээллийг хүргэх гэж байгаадаа таатай байна. Хэрвээ доор дурдагдагаагүй AMX командыг та мэдэж байгаа бол сэтгэгдэл бичих хэсэгт нэмж оруулан бусадтайгаа хуваалцахыг хүсье.

Жич: Доорхи админ командуудыг зөвхөн админууд ашиглах боломжтой ба харин чат, войт гэх мэт энгийн командыг энгийн тоглогчид ашиглах боломжтой.

Цагаач ба аянчин хэмээх vгcийн тухай

Монголчууд хэзээнээсээ нүүдэлчид учираас нутаг сэлгэн нүүхээ өвөлжөө, хаваржаа, зуслан, намаржаа хэмээн жилийн дөрвөн улиралд зохицуулан их нарийвчлалтай нэрлэдэг, гэрийн эзэн мал хуйгаа тэвээрүүлэхээр майхан саваа ачаалаад малаа даган нүүхээ отор гэдэг байсан. Өвөг монгол хэлтэй Хятан нар зусланд гарахаа “жун нүүбэ”, өвөлжөөнд буухаа “өбла нүүбэ” хэмээн хэлдэг байсан тухай нангиадын сударт тэмдэглэгдэн үлджээ. Ингэхээр нүүдэл суудал болоод түүнтэй холбоотой үгс нэн эртнийх юм.

Монгол угсааны ястангууд - Дарьганга

Дарьгангачууд нь Сүхбаатар аймгийн нутгийн баруун өмнө хэсгийн 6-н суманд оршин суух бөгөөд анхны нутагласан газар болох Дарь овоо, Ганга нуурын нэрээр Дарьганга гэж нэрлэгдсэн улс юм. Дарьганга хүмүүс нь анх 1690-ээд оны сүүлчээр халх Монгол Манжийн захиргаанд орох үест Манжийн хааны төмөр сүргийг адуулгахаар цахар, халх, ойрадаас хүдэр чийрэг мал маллагаанд гаргууд хүмүүсийг татаж халхын Түшээт хан аймгийн говь Мэргэн вангийн хошуу, Сэцэн хан аймгийн хурц вангийн хошууны дунд суулгасан сүрэгчин хүмүүс болно. Эдгээр хүмүүс олон жилийн турш холилдон уусч өөр бусад хэсгийн хүмүүсээс ялгагдах өөрийн соёл буюу хувцас хунар, гоёл чимэглэл, найр хуримын ёс дэг зэрэг эд материал соёлын талаар бага зэргийн өвөрмөц ялгааг бий болгосон учир өөр нэг ястан гэж авч үзэх болжээ. Хэлний хувьд халх монгол аялгаас бараг ялгагдахгүй.

Тоомойн Очирхүү - Надад урам хайрла

Хүмүүс ээ ! Надад урам хайрла!
Хүсэл зориг бадраах халуун дулаан үг хайрла
Анх хөлд орж байгаа алдрай жаахан хүүд
Алхаарай, алхаарай гэж дэм хайрла
Эрдэнийн үсэг хэлхэж суугаа сургуулийн жаахан охинд
Эрдэмтэй хүн болноо, хичээ гэж урам хайрла

Bruno Mars - Just The Way You Are [HF share]

Bruno Mars - Grenade [HF share]

Nelly Furtado - All Good Things [HF share]

Black Eyed Peas - The Time [HF share]

Black Eyed Peas - Just Can't Get Enough [HF share]

Онгууд аймгийн хүндэт эзэгтэй Алаха бэхи

   Богд эзний Бөртгөлжин гоо хатнаас Зүчи, Цагаадай, Өгөөдэй, Тулуй хэмээх дөрвөн хөвгүүн буюу. Бас Алахи бэхи, Илалтун /Алалтун/ бэхи, Сэчэйхэн бэхи хэмээх гурван гүнж бөлгөө.
    Хулан хатнаас Хөлүгэ гүнж, Есүй хатнаас Юнибай гүнж буюу... /Лу «Алтан товч»-оос/ Монголын түүхнээ алдар нэрээ мөнхрүүлэн үлдээсэн олон сайхан эмэгтэйчүүд байдаг билээ. Тэд нар нь эх оронч уужим тэнүүн ухаан бодолтой, хүнлэг энэрэнгүй, ёс журамыг сахигч өвөг дээдэс, эцэг эхийнхээ сургаалийг хүндлэн дагагч байснаас түүх шашдирт тэмдэглэгдэн үлдсэн байдаг.
    Тэдний нэг нь эзэн Богд Чингис хааны хайрт охин Алаха Бэхи агаад тухайн үеийн нийгэм, улс төрийн амьдралд оролцож цэрэг удирдан, төр захирч эцгийн сургаалийг хүндлэн дагадаг нэгэн байв. Алаха бэхи Бөртэ үжин хатнаас төрсөн Чингис хааны гуравдугаар гүнж болно. /*/ Чингис эцгийн таван охиноос Алаха бэхи цэцэн мэргэн холч ухаанаараа алдаршиж төр түшилцэж явжээ. Алаха бэхи нь Онгонууд аймгийн эзний хатан юм. 1207 онд Чингис хаанд дагаар ирсэн Онгууд /**/ аймгийн эзэн Алахууш тэгины хүү Буяншбалыг сайшаан соёрхож Алаха бэхи охиноо хатан болгон өгөхдөө:

Гадаад хэлийг төгс сурахуйн нууц

Хоёр настай хvvхэдтэй харьцуулахад хорин настай залуугийн толгойд элдэв тvмэн бодол эргэлдэж байдаг учраас оюун ухаанаа төвлөрvvлж чаддаггvй. “Гэдэс өлсөж байх чинь, энэ хичээл хурдан дуусаасай, Монголд ч гадаад хэл сурахгvй юм шиг байнаа, болж л өгвөл нутагт нь оччихвол хурдан сурна байх даа..“ гэх мэтийн тvмэн бодлоосоо оюун ухаанаа чөлөөлж билэг оюунаа гадаад хэл сурахад төвлөрvvлж чадах хэрэгтэй. Та элдэв тvмэн бодлоо таягдан хаяж хамаг анхаарлаа гадаад хэл сурах vйлсдээ зориулж чадвал амжилт хажуу дэргэд чинь байж байдаг гэдгийг ямагт санаж явагтун. Тvмэн бодлоос

Монгол угсааны ястанууд - Барга

   Баргуд нь XIII зууны үед ойн иргэд, хорь түмэдийн хамт монголын эзэнт гүрний бүрэлдэхүүнд орж урианхай мянганы нэгэн болж явжээ. Монголын Юан улсаас хойш Баргуд аймаг нутаг зүүлж, Эргэнэ, Онон гол, Хөлөн нуурын газар суурьшиж, хорчин аймгийн захиргаанд орсон байна. XҮ зууны эхэн хагаст (1437 онд) Ойрадын Тогоон тайш Зүүн монголын хорчины Уруг төмөрийг ялснаар түүний харъяат барга буриад аймаг Дөрвөн Ойрадын бүрэлдэхүүнд багтах болов. Үүнээс хойш Зүүн монголын Алтан Боди Алаг хаан 1525-1538 онд барга буриад аймгийг дийлж, Ойрадаас салган мэдэлдээ оруулж авчээ. Тэр цагт Хянган давааны ар нутаг эзгүй зэлүүд газар байсан тул баргачуул тэнд хүрэлцэн очиж суусны дээр тэдний араас халх, өөлдийн нэлээд отог омог очиж нутагласан байна.    1730-аад онд эл баргын хүн амыг Манж чин улсын засгийн газраас хошуу сум зохиож, хуучин шинэ баргын хошууг байгуулжээ. Найралт төвийн 10 (1732) онд шивчин солоон баргыг баруун, зүүн гар болгоод шивчин, 2, солоон 6 хошуу зохион, мөн онд Цэвдэн хэмээгчийн дагуулж очсон хоёр отог өөлдийг Хайлараас урагш нутаглуулан нэг хошуу болгожээ.

Ш.Гүрбазар - Би монголоороо гоёдог

Хөх Азийн цээжин дээр ирж буцахын учир
Хvмvvvний алтан заяа энд байхын учир
Туурайн тамгатай хөрсөн дээр
Унагатай хамт тэнцэж хөлд орохын учир
Тунгалаг шандны толиог
Хулантай хамт булаалдаж рашаан амсахын учир
Би Монголдоо мэндэлсэн
Газар тэнгэрийн савслагад гайхуулан байж
Ганц яваа насаараа би Монголоороо би гоёно

Үзэсгэлэн гоо Хулан хатан

XIII зууны үеийн Монголын түүхийн сурвалжид гоо үзэсгэлэн эмэгтэй хүний эрхэм нандин чанарын бэлэг тэмдэг болгон олонтоо гэмдэглэгдсэн нэгэн хүн бол Чингис хааны бага хатан Хулангоо юм. Хулгана жилийн намар/1204/ Хар талын үзүүр нэрт газар Мэргид /*/ аймгийн Тогтоабухатэй Чингис хаан байлан, Сайрь хээр хэмээх газар Мэргид аймгийн үлдэгдэл хүчийг эцэслэн дарав.
 Увас мэргэдийн тэргүүн Дайр-Усун найрамдахыг эрмэлзэж өөрийн Хулан нэрт гүнжийг Чингис хаанд хатан болгож өгчээ. Энэ тухай «Монголын нууц товчоо»-нд «Мэргид иргэнийг эзлэхэд Увас мэргэдийн тэргүүлэгч Дайр Усүн гэгч хүн «байлдах саналгүй гэж» өөрийн охин Хуланг Чингис хаанд үзүүлэхээр авчирч явтал, замд тохиолдсон монгол цэрэг

Ухаант хатан Есүй

Монголын түүхэнд хань нөхөр, хаан эзэндээ тусалсан хатад цөөнгүй байдгийн заримыг түүх сударт тэмдэглэн үлдээсэн байдаг бөгөөд тэдний нэг нь эзэн Чингисийн хайрт хатан Есүй юм.
  Есүй Татар аймгийн Их Цэрэн гэдэг хүний охин бүлгээ. 1202 оны намар Чингис хаан татарын олон аймгийг Хадан Нөмөрөг гэдэг газар хиар цохих үед Есүй олзлогдсон юм.
   <<Монголын нууц товчоо>> - ны тэмдэглэлийг үндэслэвэл Татар аймаг Чингис хаанд дийлэгдэж, бүх аймаг нь эзлэгдэсний дараа Чингис хаан Есүгэн гэдэг үзэсгэлэн гоо охиныг олзолж таалахад, тэр охин өөрийн эгч Есүйг гоо сайхан төрснийг нь Чингис хаанд айлтгаж: <<...хаан хүнд зохилдох гоо бөлгөө>> гэж магтасныг нь Чингис хаан сонсоод, хүмүүс илгээн Есүйг авчруулжээ/1/.

Shortcut вируснээсээ хэрхэн ангижрах вэ?

Таны бүх файл болон хавтас гэнэт л харагдахаа болиод зарим нэг байгаа хавтасруугаа хандхаар нээгдэхүй байна уу. Тэгвэл та битгий сандар. Энэ нь тийм ч сонин гайхаж сандраад байх үзэгдэл биш бөгөөд таны файлууд устаж үгүй болоогүй бөгөөд зүгээр нуучихсан байгаа. Яагаад нуучихсан байна гэж хэлсэн бэ гэвэл таны компьютер болон зөөврийн диск Shortcut хэмээх вирусээр халдварлагдсан хэрэг л дээ.


Вируснээс үүссэн shortcut файлууд

Баяд ёсноос ...

Олон зуун жил Монголын нутагт нүүдэллэн амьдарсан баядууд XVII зууны эхээр одоогийн Увс аймгийн Зүүнговь, Тэс, Малчин, Хяргас сумын уулс ус, говь хангай жигдэрсэн нутагт суурьшин амьдарцгаажээ. Баядууд нь ингэж нэгээс нөгөөс шилжин нүүдлэн явахдаа өөрийн гэсэн соёл, ёс заншил, зан үйлийн өвөрмөц хэв загварыг бий болгож одоо ч түүнийгээ уламжлан хадгалсаар эдүгээг хүрчээ. Эдгээр ёсонд:

Хүндлэх ёс: Мэнд мэдэх, хүндэтгэж зочлох

Ураг төрөл, өрх гэрийн ёс: Худ ураг барилдах, гэр бүл ахуй доторх ёс, гэрийн зай ашиглалт, суух суудал хөдөлмөрийн хуваарь дахь ёс горим

Хүүхэдтэй холбогдох ёс: Төрөх, зан үйл, хүүхдийн угаалга, өрлөг авах ёс, гэрийн хүмүүжил олгох ёс, хүүхэд үрчлэх ёс

Нүүдэл суудлын ёс

Хурим найрын дэг ёс

Цагаалах зэрэг ёсууд багтдаг.

Notebook-ны цэнэгийг хэрхэн хадгалах вэ?

Алхам 1:
Шаардлагагүй олон тоног төхөөрөмжүүдийг үргэлж компьютертаа залгахаас зайлс хийх. Тэр дундаа нэмэлт гэрэлтүүлэгтэй MP3, MP4, зөөврийн хатуу диск, нэмэлт хулгана, вэб камер мөн бусад USB оролттой тоног төхөөрөмжүүд нь таны батерейг маш түргэн хугацаанд дуусгадаг бөгөөд тэр тусмаа бүгдийг нь нэгэн зэрэг ажиллуулсан тохиолдолд батерейны цэнэгээ удаан барихад муугаар нөлөөлдөг байна.

Зураг түүх өгүүлнэ

1915-1925 оны орчмын сонирхолтой гэрэл зургуудыг эрхэм таньд толилуулж байна. Энэ хугацаа бол Манжийн дарлалаас ангижирч, Богд хаан Монгол улсыг тунхагласан, Орос, Хятадын тохиролцоогоор Хятадын өөртөө засах орон болсон, Барон Унгерн гамингуудыг хөөсөн, Ардын хувьсгал ялсан гээд олон үйл явдал өрнөсөн үймээнт он жилүүд байсан билээ.

Монгол улсын ерөнхий сайд т.Намнансүрэн засгийн газрын төлөөлөгчидийг тэргүүлж 1913 оны 10 сараас 1914 оны 1 сар хүртэл Хаант оросын нийслэл Петербург хотод айлчилсан нь

Вансэмбэрүү цэцэг

Вансэмбэрүү нь ихэвчлэн 3000 метрээс өндөрт уулын таг царман дундах хад асганд хосоороо ургадаг, 15 см-ээс 1 метр хүртлэх өндөр, бүдүүн иштэй, захаараа олон хурц шүдлэгтэй, гонзгойвтор навч нь шилбээ дагасан далавч үүсгэсэн дэлбэгэр том цагаан дэлбээтэй цоморлигийн голдоо балчир хүүхдийн зулай адил зөөлөн бүлээн хүрэн толгойтой цэцэг. Цэцгийн голч 10-15 см. Найрлагандаа алкалиод, флавонойд, сапонин, кутарин, зүрхний глюкозидтай. Монголд нөмрөгт банздоо, байгалийн банздо, дорогстайн банздоо гэсэн гурван зүйлийн Вансэмбэрүү байдаг аж. Манай орны Алтай, Хангай, Хөвсгөл, Хэнтийн уулсаар маш ховорхон тархан ургадаг. Энэ ургамалд эр, эм бэлэг хамтдаа байрладаг, хос бэлэгтэй нэг гэрт ургамал. Үрээр үржихдээ муу. Өөр хоорондоо 10-20 метрийн зайтай ургах бөгөөд үүний нууц нь өнөөг хүртэл тайлагдаагүй байна.

Баяд им, тамга

Баядууд үндэс ясныхаа онцлогт тохирсон им тамгатай. Тамгаа их хүндэтгэн үзэж будаа ороосон хадаг уяж гэрийн хойморийн ханандаа хадгалдаг. Тамгаараа газар хатгаж тулах, тос хүргэхийг цээрлэдэг. Эрт үед тамгын дүрсээр хошуу нутгийн хилийн дээсийг тэмдэглэдэг байсан. Малыг хавар, зуны эхэн сарын шинийн 5, 18-нд имнэж тамгалдаг уламжлалтай. Зарим голчлон хэрэглэгддэг имийг нэрлэвэл Онь, Он шидэлбэр, Ар ухам, Өвөр ухам, Шоргоолж, Цоолбор, Цуулбар, Гөлөм, Тайрмал, Он ухам зэрэг нийтлэг имүүд байдаг. Дээрхи имүүдийг зургаар харуулбал:

Монголын тусгаар тогтнол ба ноёдын үхэл

"Төрийн эрхэнд орсон хүн зодуулж үхдэг
Бурханы үгэнд орсон хүн өлсөж үхдэг..."
Эртний үгээс
Өгүүлэлийн товч утга: Уг өгүүлэлд ХХ зууны эхэн хагаст өрнөсөн Үндэсний эрх чөлөөний хувьсгалын удирдагч, тэргүүлэгчдийн хоорондын харилцаа, ихэнх улс төрийн зүтгэлтнүүдийн учир битүүлэг үхэл түүнд нөлөөлсөн гадаад хийгээд дотоод хүчин зүйлийн асуудлыг түүхийн архивын баримт бичгүүдэд тулгуурлан тодруулахыг зорьсон болно.
Түүхийн шинэ эрин ХХ зууны эхэн болоход Монголчууд өөрийн тусгаар тогтнолын төлөө нэгдэн тэмцэж эхэлсэн юм. Монголын ноёд, лам, язгууртнууд эрхт төр, тусгаар оршихуй, монголчууд бид өөрийн газар шорооныхоо эзэн байх ёстой гэсэн үзэл санааны нэгэн сэтгэлэгт байдалтайгаар нэгдэн тэмцсэний дүнд 1911 оны өвөл цагаас Монгол орон харьцангуй бие даан, өөртөө туурга тусгаарласанаа зарлан тунхагласан билээ. Уг түүхэн үйл явдалд биечлэн оролцож, тэргүүлэн удирдсан монголын нөлөө бүхий лам, хар, хэн бүхэн дэмжин сайшааж хамтран зүтгэсэн ч Монгол улс өөртөө тусгаар тогтнолоо тунхаглан зарлаж төрийн цоо шинэ тогтолцоог бий болгон таван яамыг байгуулж, сайдын сэнтийд хувьсгалын хэрэгт тэргүүлэн оролцсон Ханддорж, Цэрэнчимэд, Чагдаржав зэрэг ноёд тохоон талбигдсаны маргаашнаас эхлээд л хэн хэнийгээ захирах вэ? гэсэн мөчөөрхөл ажиглагдах болсон.

Шилийн сайн эрсийн тухай өгүүлэх нь

XVII зууны сүүл үед Дарьгангад Халх, Цахар, Ойрад гэсэн гурван өөр ястан суурьшсан явдал нь тэдгээрийг өөр хоорондоо нэгдэн уусаж цоо шинэ ястан бүрэлдэн тогтоход хүргэсэн юм. Тэрхүү шинээр бүрэлдсэн Дарьганга ястан нь өөрийн гэсэн өвөрмөц зан заншилыг бий болгосон байна. Түүний нэг бол "Сайн эр" болох заншил юм. Сайн эр болох заншил бол Дарьганга ястны бахархал хүндлэлийн нэг байсан. Тэгэхээр "Сайн эр" хэмээх ойлголтын тухай эхэлж авч үзэх нь зүйтэй юм. Энэ ойлголтыг эдүгээчлэн ойлгох явдал одоо нэлээд түгээмэл болжээ. Өөрөөр хэлбэл "Сайн эр" гэдэг бол бусдын мал, эд хөрөнгийг шударга бусаар хулгайлан авдаг хүмүүс мэтээр өрөөсгөл, хийсвэрээр ойлгож, тэдэнтэй зүйрлэн бичих, ярих болсон. Энэ бол түүхийн томоохон эндүүрэл, эдүгээчилсэн ойлголт юм. Тэгвэл "Сайн эр" гэж ямар хүмүүсийг хэлэх вэ? гэсэн асуулт аяндаа гарч ирнэ. Монгол хэлэнд "Сайн эр" гэдэг үг хэд хэдэн янзаар тайлбарлагддаг. Тухайлбал, нэг малчин залуу морь уургалаад тогтоож чадсангүй уургатайгаа алдсан бол түүнийг за мөн сайн эр дээ гэж хэлэх ч бий. Үүнд сайн эр гэж магтсан биш, харин буруушаан шоолсон утгаар хэрэглэжээ.

Д. Болдхуяг - Ханьдаа илгээх захидал

Гучин өвлийн уйтгарт цаснаас асууж
Гучин хаврын гоонь салхинд эрлээ
Гучин зуны өнчин шүүдрээс сураглаж
Гучин намрын гансарсан бороонд хайлаа

Гэргий минь болох бүсгүй чи хаахна явна
Гэгэлгэн дууны нугалаанд уярч явна уу
Гэнэхэн охины туузанд дэрвэж явна уу
Гэмгүй цагаан салхинд гуниж явна уу

Гал голомтоо бадрааж хослон дуулъя гэсэн юм
Галбингын ихэр жигүүр болж нисэн дүүлье гэсэн юм
Гарын хоёр алга шиг эрх тэгш байя гэсэн юм
Газар дэлхийд хэнээс ч дутахгүй аж төрье гэсэн юм

Дэлхийн шилдэг 10 нохой

Хамгаалагч нохой нь өөрийн эздийн эд хөрөнгө, амь насыг хамгаалах өөр өөрийн гэсэн зөн совин эзэмшсэн авъяас чадвараас хамаараад тусгай үүлдэр угсаанд хамаардаг. Энэхүү бичлэгээр дэлхий дээр буй нохойнуудаас хамгийн шилдэг 10 хамгаалагч нохойг танилцуулж байна

10.Tibetan Mastiff (Түвд Мастиф

Хамгаалагч нохойны хамгийн том үйлдрийн нэг нь Түвд Мастиф юм. Биенийх нь ердийн жин нь 100 фунт (1 фунт = 0.454 кг), амьдралын мөчлөг нь 14 жил бөгөөд тэсвэр хатуужил сайтай том биетэй байдаг. Түвдэд сүргээр нь тэжээсэн нохойнууд нь чоно, ирвэс мэтийн араатан амьтадтай тулгаравал тууштай тулалдан дийлэх авъяас чадвартай байдаг.


Сайхан амраарай


Миний эрх чөлөөний талбарт саатан зочилдог эрхэм таньд талархалаа илэрхийлэе. Энэхүү блогийг хөтлөгч миний зуны амралт эхэлсэн тул ойрдоо шинэ бичлэг нэмэхгүй байх. Намар амраад ирэхдээ шинэ содон хэрэгтэй бичлэгээр аяныхаа богцыг дүүргээд ирэх болно. За намар уулзах хүртэл түр баяртай. Та бүхэн минь зуныхаа амралтыг зугаатай сайхан өнгөрөөгөөрэй.

Хүндэтгэсэн: Б.Батбилэг

"Read more" - г хэрхэн хийх вэ?

Блогынхоо хуучин тэмплэтийг өөр шинээр солиод оруулсан чинь таны бичлэгүүд хуудсандаа бүтнээрээ харагдаад нэг л их сунжралдсан юм болоод гараад ирсэн үү. Уг нь таны бичлэгийн дээд хэсэг нь нүүр хуудсандаа тэгээд цааш унших гэсэн дээр дарахад үндсэн бичлэг нь гарч ирдэг болгочихвол бичлэгүүд нилээд цэгцтэй харагдах билээ. Ингээд энэ удаагийн бичлэгээрээ энэхүү тохиргоог хэрхэн хийх тухай хялбар аргыг орууллаа. Дагаад хийгээрэй.

Үндэсний их баяр наадмын мэндийг хүргэе


Монгол нутгийн анхны төрт улс Хүннү гүрэн байгуулагдсаны 2220 жил, Их Монгол Улс байгуулагдсаны 805 жилийн ой, Үндэсний тусгаар тогтнолын хөдөлгөөний 100 жилийн ой, Ардын хувьсгалын 90 жилийн ойн Үндэсний их баяр наадмын халуун дотно мэндчилгээг миний эрх чөлөөний талбарт зочлон буй та бүхэндээ өргөн дэвшүүлье...

Run as

Та програмыг өөр хэрэглэгчийн горимоор ажилуулахдаа заавал Logging off хийх шаардлагагvй. Ажиллуулах програмынхаа icon дээр баруун товчоо дараад Run as - гэж сонгоод хэрэглэгчийн нэр, нууц vгийг нь бичих хэрэгтэй. Энэ нь зөвхөн ажиллуулахад л холбоотой болохоос өөр зvйлгvй. Мөн админимтраторын зөвшөөрөлтэй суудаг програмыг ингэж суулгаж болно. Зарим програмыг нэг хэрэглэгчийн горимоор нэг систем дээр олон удаа ажиллуулж болдог. Энэ нь хөгжилтэй боловч дvрслэлийн чанар нь алдагдах магадлалтай.

Vitas - The star


sysoc.inf

Windows Xp нь зарим програмуудаа танийг устгахаас сэргийлж нуудаг юм. Жишээ нь Windows Msgr, Pinball, heart зэргийг та устгаж чаддаггvй шvv дээ. Тэгвэл vндсэн партишн: windowsinfsysoc.inf гэсэн файлыг notepad эсвэл wordpad - аар нээгээд устгах програмынхаа 'hide' гэсэн vгийг нь устгачих. Одоо Control Panel Add Remove Windows Components - ыг сонгоход тухайн програм гарч ирсэн байх болно.

Маргад-Эрдэнэ - Баяртай

Windows locker

Та өөрийн ажиллаж буй ажлын орчиноо Win Key+L гэж түгждэг байх. Тэгвэл бас өөрөөр ингэж болно. Desktop дээрээ баруун товчоо дараад New shortcut үүсгэ. Гарч ирэх харилцах цонхон дээр rundll32.exe user32.dll,LockWorkStation гэж бичээд нэрийг нь хүссэнээрээ өгөөд хадгал. Ингээд л гүйцээ. Одоо та энэ Shortcut дээр дарахад Workstation чинь түгжигдэх болно.

Системийн мэдээлэл

Та текст шивж, дуу сонсоод л компьютер сурчихсан, бараг л мэргэжлийн программист болчихлоо гэж өөрийгөө бодож болохгүй шүү. Бидний мэдэхгүй маш олон зүйлс тэнд байгаа. Эндээс ухаж түнхэж байж алдаа цоорхойг нь илрүүлж хакерууд вирус бичиж, антивирус програмын компаниуд тэднийг устгах нэрийдлээр таны халаасны мөнгөөс хумсалсаар байна.

YM 11.0.0.2009 албан ёсоор хэрэглээнд гарлаа


Бид бүхний өдөр тутмын ажилдаа хэрэглэдэг програмуудын нэг болох Yahoo Messenger-ийн шинэ хувилбар болох Messenger 11.0.0.2009 албан ёсоор хэрэглээнд гарлаа. Энэхүү хувилбарт зарим шинэ theme нэмэгдсэнээс гадна Yahoo Messenger 11-ийн avatar-т байрлуулсан зураг өмнөх хувилбарыг ашигладаг хэрэглэчдийн Yahoo Messenger дээр бүрзийж харагддаг байсан байдал нь үгүй болсоноос гадна бусад шинэчлэлтийг нэмж өгчээ.

А.Эрдэнэ-Очир - Миний зурсан хорвоо

Бүжин хярсан бут цагын эрхээр өргөс ороод
Бүлээхэн бороо ороод намиравч солонго хөдлөөд намар болно
Бүүр түүр бодлын холд цаг хугацаа өндөглөж
Бүдэг гэрлийн нөмрөг дор шөнийн шувууд амраглаад сүрэг болно

Саруул тал тэнгэрийн хаяанаас эх авсан
Салхины чимээ нуурын толионоо чимэг болно
Санаа сэтгэл дэнсэлж амраг чамайгаа санаад
Сарыг алгандаа бөмбөрүүлэн залгихад гэгээ болно

Энэрэлт эх Өүлэн


Есүхэй баатар /?-1170/ нь Хамаг Монголын Хабул ханы ач Бардан баатарын гуравдугаар хүү билээ. Хабул хан XII зууны тэргүүн хагаст ханчлахдаа хан ширээгээ долоон хөвгүүнийхээ нэгэнд бус Тайчууд овгийн Сэнгүн Билигийн хөвгүүн Амбагайд өгөхөөр гэрээслэжээ. Чухам яагаад Хабул хан ийм шийдвэр гаргасны учир шалтгаан судар, түүхэнд тэмдэглэгдээгүй ажээ. Амбагайн дараа Хамаг Монголын ханаар Хабулын дөрөвдөх хүү Хотула өргөмжлөгдсөн юм. Хотула хан нас барахдаа гурван хөвгүүнийхээ алинд ч залгамж халаагаа захиж үлдээсэнгүй.

GoGo больё оо !!!


"GoGo Share үйлчилгээг ашигласнаар Та ХЯЗГААРЛАГДМАЛ байдлаасаа ангижирч Интернетийн ХЯЗГААРГҮЙ ЭРХ ЧӨЛӨӨГ мэдрэх болно гэдэгт бид итгэлтэй байна" энэ тэр гэсэн сүржин уриа байрлуулчихсан хэрнээ хэрэглэгчидээ хэзээд үл хүндэтгэдэг GoGo сайтын хамт олон больмоор юмоо.

Алон Гоа эх

Торголжин баяны хатан Борогчин гоогоос төрсөн хоёрдахь хүү бол Добу мэргэн (945-969) бүлгээ. Добу мэргэн болвоос Бөртэ Чинуагийн арав дахь үеийн ач, Чингис хааны арван нэгдэх үеийн дээд өвөг мөн. Тэрээр сайн харваачийн тул Добу мэргэн хэмээгджээ. Түүний ах Дува сохор хэмээгч нь холыг ойр, далдыг ил хардаг эрдэм чадалтай тул Добу мэргэн ажил үйлс өөдрөг, амьдрал ахуй тэгширсэн, овог аймгийнхаа дотор эд агуурс чинээлэг, эрх мэдэл нөлөө бүхий нэгэн болсон байна.

Добу мэргэн гурван гол Бурхан Халдун хавийн нутгийг эзэгнэн язгуур Монгол аймгийг захирч байгаад насан залуудаа тэнгэрт хальжээ. Добу мэргэн тэнгэр болсноор язгуур монголын ханы суурь Дарлигин Монголоос Нирун Монголд шилжсэн гэдэг.

Kane CS server - ийн IP өөрчлөгдлөө !!!